АЦП ADS1230 и PIC24FJ64GA004

Visits: 1105


Cat_ADS1230_05АЦП ADS1230 – это 20 битный АЦП со скоростью измерения 10 или 80 раз в секунду. При подаче питания АЦП постоянно выполняет измерения. Данные можно получить с него по SPI шине. Максимальная тактовая частота шины до 1 мГц. Каждое измерение выполненное АЦП инициализируется самим АЦП по шине данных положительным импульсом. Чтение микроконтроллер должен начинать по получению отрицательного фронта.

Cat_ADS1230_02

Данные передаются старшим битом в перед для чтения 20 бит необходимо 3 байта. Данные выровнены влево.

Cat_ADS1230_03

Для чтения данных необходимо настроить SPI по отрицательному фронту синхроимпульсов.

Управляя количеством синхроимпульсов можно управлять функциями АЦП, одна из них это калибровка АЦП.

Калибровки смещения

Калибровка смещения может быть начата в любое время для компенсации в ADS1230 погрешности смещения. Чтобы начать калибровку смещения, необходимо по крайней мере, два дополнительных SCLKs после получения 20 бит данных. Данные мы получаем чтением 24 бита. Если продолжить формирование SCLK, то задний фронт 26-го SCLK начинается цикл калибровки. Дополнительные импульсы SCLK могут быть отправлены после 26 SCLK, однако, активность на шине SCLK должны быть сведены к минимуму, во время калибровки смещения, для достижения наилучших результатов. В течение выполнения функции калибровки, аналоговые входные контакты отсоединены от входов АЦП и соответствующий сигнал подается внутри, чтобы выполнить калибровку. Когда калибровка завершена, DRDY/DOUT переходит в низкий уровень, что указывает, что новые данные готовы. Первое преобразование после калибровки содержит достоверные данные.

Cat_ADS1230_04

Стандартная схема подключения АЦП к мосту датчика для измерения веса.

Cat_ADS1230_01

Вариант подключения АЦП к PIC24FJ64GA004.

Подключение будет выполнено к SPI2

void init_spi2 (void) // настройка SPI2
/* настраивается только на приём данных
 * данные с АЦП
 */
{
 /* SPI2 - настройка для работы с входным усилителем и индикатором
 * PPRE
 * 11 = Primary prescale 1:1
 * 10 = Primary prescale 4:1
 * 01 = Primary prescale 16:1
 * 00 = Primary prescale 64:1
  *  SPRE
 * 111 = Secondary prescale 1:1
 * 110 = 2
 * 101 = 3
 * 100 = 4
 * 011 = 5
 * 010 = 6
 * 001 = 7
 * 000 = Secondary prescale 8:1*/
//    RPOR4bits.RP9R=0b01001;   // SPI2 Slave Select Output//CS 
//    RPOR6bits.RP12R=0b01010;     // SPI2 Data Output
    RPINR22bits.SDI2R = 20;     // SPI2 Data Input - RP20/ нога 37
    RPOR10bits.RP21R=11;        // SPI2 Clock Output
    
    SPI2STATbits.SPIEN = 0;         // выключить
    SPI2CON1 = 0b0000000000111001;  //FCY/1/6=50/6=8,3
/*               ||||||||||||||++--- PPRE<1:0>: настройка предделителя 1 11 = Primary prescale 4:1
 *               |||||||||||+++----- SPRE<2:0>: настройка предделителя 2 111 = Primary prescale 8:1
 *               ||||||||||+-------- MSTEN: 1 = Режим ведущего, 0 = режим ведомого
 *               |||||||||+--------- CKP:0 = пассивный уровень шины SCL 1- высокий, 0-низкий
 *               ||||||||+---------- SSEN:Slave Select Enable bit (Slave mode)
 *               |||||||+----------- CKE: смена бита данных происходит: 1-от активного в пассивное(синх-0/1)/0-пассивного в активное (синх-1/0)
 *               ||||||+------------ SMP:0 = Input data sampled at middle of data output time
 *               |||||+------------- MODE16: Communication is word-wide (8 bits)
 *               ||||+-------------- DISSDO: 0-в работе
 *               |||+--------------- DISSCK: 0-в работе
 *               +++---------------- не используются
 */
    SPI2CON2 = 0b0000000000000000;
/*               |||||||||||||||+--- не используется
 *               ||||||||||||||+---- FRMDLY:
 *               |||+++++++++++----- не используются
 *               ||+---------------- FRMPOL:
 *               |+----------------- SPIFSD:
 *               +------------------ FRMEN:
 */

    SPI2STAT = 0b1000000000000000;
/*               |||||||||||||||+--- SPIRBF: Флаг приемного буфера 1 - данные приняты 0- прием выполняется SPI2RXB пуст
 *               ||||||||||||||+---- SPITBF: Флаг передатчика 1 - передача не началась (буфер полон), 0 - передача началась буфер пуст (устанавливается сбрасывается аппаратно)
 *               ||||||||||++++----- не используются
 *               |||||||||+--------- SPIROV: 1 - произошло переполнение 0 - нет переполнения премного буфера.
 *               |||++++++---------- не используются
 *               ||+---------------- SPISIDL: режим работы в состоянии ожидания 0 - работает 1 - отключен модуль
 *               |+----------------- не используется
 *               +------------------ SPIEN: 1 - модуль включен 0 - выключен.
 */
    SPI2STATbits.SPIEN = 1; // БИТ Включить - должен быть активирован последним, чтобы вступили в действии все изменения.
}

Сама обработка данных и синхронизация выполняется через прерывания по входу через которые на SPI2 поступают данные. Настройка прерывание:

void ADCIZ (void)// включить измерение
{
    ADCVMOSST=1;            // подать питание на датчик
    ADCPDWN=1;              // включить ADC
    CNEN2bits.CN25IE=1;     // разрешить прерывание по входу
    _CNIF = 0;              // сбросить прерывание
    _CNIE = 1;              // включить прерывание
}

Первые две строчки это если есть необходимость в управлении подачей питания на измерительный мост и управления режимом “слип” АЦП. Следующие три строки это настройка режима прерывания по входу через который АЦП получает данные.

Получение данных происходит через функцию прерывания:

void __attribute__((interrupt, auto_psv)) _CNInterrupt (void)
{
    unsigned long datain;
    _LATB2=!_LATB2;                    // индикация работы АЦП
    
    while(PORTCbits.RC4);              // ожидать низкого уровня на входе

    SPI2BUF=0;                         // запуск чтения
    while(!SPI2STATbits.SPIRBF);       // ожидать загрузки буфера
    datain=SPI2BUF;
    ADCbufer[ucazad]=datain<<16;       // загрузка данных в буфер, сброс бита SPIRBF
    
    SPI2BUF=0;                         // запуск чтения
    while(!SPI2STATbits.SPIRBF);       // ожидать загрузки буфера
    datain=SPI2BUF;
    ADCbufer[ucazad]+=datain<<8;       // загрузка данных в буфер, сброс бита SPIRBF
    
    SPI2BUF=0;                         // запуск чтения
    while(!SPI2STATbits.SPIRBF);       // ожидать загрузки буфера
    datain=SPI2BUF;
    ADCbufer[ucazad++]+=datain;        // загрузка данных в буфер, сброс бита SPIRBF
    
    if(ucazad==filtrADC)ucazad=0;      // инициализация указателя.
    
    //калибровка
    if(Bit.calADC)                     // если установлен выполнить калибровку
    {
        Bit.calADC=0;                  // сбросить флаг калибровки
        SPI2BUF=0;                     //
        while(!SPI2STATbits.SPIRBF);   // ожидать загрузки буфера
        datain=SPI2BUF;                // сброс бита SPIRBF
    }
    
    _CNIF = 0;                         // сброс прерывания
}

Флаг Bit.calADC – предназначен для активирования режима калибровки. Данные загружаются в буфер ADCbufer[] размер которого может быть ограничен переменной filtrADC.



Это может быть интересно


  • TDA7294 part 2TDA7294 part 2
    Visits: 210 Це друга частина проекту TDA7294, початок дивись тут. Тут ви знайдете повністю проект високоякісного підсилювача на TDA7294, схема, 3D моделі, гербер файли для виготовлення друкованої плати. І звичайно …
  • MCC PIC24 – модуль OUTPUT COMPARE – в режиме генератора звуковых сигналовMCC PIC24 – модуль OUTPUT COMPARE – в режиме генератора звуковых сигналов
    Visits: 586 При проектировании простых устройств автоматики, часто необходимо иметь механизм звукового оповещения. Самый верхний уровень, это формирование голосовых сообщений, но об этом, как то по позже… В самом примитивном …
  • Мультизоновый индикатор-терморегулятор ch-c3010Мультизоновый индикатор-терморегулятор ch-c3010
    Visits: 1139 Часто возникает необходимость получить информацию по температуре с множества точек контроля. Вам необходимо знать температуру в комнате, в коридоре,  температуру на улице, а в погребе (или на балконе) …
  • Индикатор температурыИндикатор температуры
    Visits: 2630 Проект для начинающих, на демо плате BB-2T3D-01. Простой индикатор температуры. Проект никак не задумывался, просто на витрину магазин Ворон нужна была демонстрационная модель на макетной плате, чего нибудь работающего. Остановились на индикаторе …
  • Проект с использованием MCC часть 15Проект с использованием MCC часть 15
    Visits: 1471 EUSART – Универсальный асинхронный приёмопередатчик (УАПП, англ. Universal Asynchronous Receiver-Transmitter, UART) — узел вычислительных устройств, предназначенный для организации связи с другими цифровыми устройствами. … читать на вики. Внесем изменения в нашу схему, …
  • Development Boards PIC18F47Q84Development Boards PIC18F47Q84
    Visits: 523 Microchip тішить новими мікроконтролерами. Особливістю цього MCU – це багата інтелектуальна периферія, що дозволяє вирішувати такі завдання на 8 бітних MCU, які неможливо реалізувати на деяких навіть 32 …
  • Часы-кухонный таймерЧасы-кухонный таймер
    Visits: 3868 Каждая кухня должна иметь кухонный таймер, который позволяет напоминать хозяйке когда проходить определенный промежуток времени. Например, печем пирог, варим яйца… , чтобы не смотреть постоянно на часы, установим таймер и …
  • USB K-L-line адаптерUSB K-L-line адаптер
    Visits: 5925 USB K-L-line адаптер предназначен для связи персонального компьютера с диагностической шиной автомобиля – интерфейс ISO-9141. Этот проект предназначен для сборки недорого устройства с использованием специально для этой цели …
  • Kitchen timer with contactless gesture controlKitchen timer with contactless gesture control
    Visits: 477    Кухонний таймер з безконтактним керуванням жестами дозволяє встановити необхідний період часу для приготування страв, не торкаючись пристрою. Дуже зручно під час приготування їжі, коли руки забрудниться. Усі …
  • Мониторинг температурыМониторинг температуры
    Visits: 1349 Настоящий проект создан как обучающий с применением библиотек ds18b20 и LCDHD44780 и компилятора Microchip MPLAB XC8 C Compiler V1.12. Если необходимо иметь информацию по состоянию температуры в помещении или в здании, с количеством до 6 точек (16), то …


Поделись этим!