NeoPixel LED и PIC18

Visits: 1635


Play

 

Еще раз об управлении светодиодами на драйвере WS2812 и ему подобных. Как известно эти светики управляются по однопроводной шине. Основная особенность, что программно можно описать передачу данных, но это будет поглощать значительную часть времени работы микроконтроллера, но в новых микроконтроллерах появилась возможность организовать этот интерфейс с применением периферии.

И так с самого начала как выглядит протокол передачи данных по шине, например, для 4 светодиодов соединенных последовательно?

Каждый светодиод представляет собой внутри сдвиговый регистр, в который необходимо загрузить 24 бита данных (8 бит на каждый свет) для формирования яркости его свечения. Для управления 4 светодиодами нам необходимо сформировать последовательность из 96 бит или из 12 байт. Эту последовательность необходимо подать на вход первого светодиода. Рассмотрим как будет выглядеть передача данных по шине:

Т.е. если нам необходимо управлять 4 светодиодами. то нам необходимо сформировать буфер данных и передать их через порт, предварительно сформировав в начале длительность низкого уровня не короче 50 мкСек. Этот длительность очень зависит от количество светодиодов на шине и при значительном количестве его надо увеличивать. Почему? Каждая микросхема светодиода имеет небольшую задержку в передачи сигнала и сформированный на входи импульс синхронизации обязан пройти через все светики, чтобы все они переключились в исходное состояние по приёму данных. Эту задержку надо учитывать чтобы перед последним светодиодом импульс синхронизации был не менее 50 мкСек. Т.е. реально чтобы выбрать между скоростью передачи и надёжностью, надо искать компромисс. В длинных цепочках этот импульс может достигать миллисекунд.

Что собой представляет структура данных, как формируется бит передачи логической единицы и нуля. Из описания на эти драйверы мы видим для формирования передачи логического нуля надо сформировать:

С технической документации нам рекомендуют, чтобы длительность передачи tH + tL = 1.25μs±600ns.

Параметр Описание Длительность
Допуск
tH0 Длительность высокого уровня при передачи 0 0.4us ±150ns
tL0 Длительность низкого уровня при передачи 0 0.8us ±150ns
tH1 Длительность высокого уровня при передачи 1 0.85us ±150ns
tL1 Длительность низкого уровня при передачи 1 0.45us ±150ns
bus sync pulse Длительность импульса синхронизации более 50μs

Я уже описывал как программно формировать протокол передачи для WS2812, но в новых микроконтроллерах с использование аппаратных средств это можно сделать намного эффективней. Основные преимущества нам даст наличие модуля CLC. Разберем последовательно как с применением аппаратных модулей можно сформировать передачу данных. Для тестирования я использовал PIC18F25K42, т.е для повторения подойдут все МК 18 серии которые заканчиваются на 42. Для передачи данных нам необходимо использовать SPI модуль микроконтроллера. Как выглядят данные при передачи например, двух байт 0x55 и 0xAA.

Данные на выходе SPI реально не подходят для передачи данных для WS2812, но сформировать корректные длительности нам поможет CLC модуль. Нам необходимо взять данные на выходе SPI модуля и в зависимости от уровня формировать 0 или 1 для формирования данных. Нам необходимо две длительности импульса высокого уровня 0.8us и 0.45us. Для большой длительности можно использовать длительность импульса из синхронизации его длительность 0,625us (для скорости передачи данных 800 кГц) это близко к минимальной длительности по техническому описанию. А для формирования малой длительности импульса будем использовать PWM модуль настроив его на формирования импульса требуемой длительности.

Как это будут выглядеть при создании проекта, новый проект:

 Выбираем стандартный проект:

Выберем микроконтроллер:

Выберем инструмент для программирования:

Выберем версию компилятора:

Зададим имя и каталог для хранения проекта, а также не забудем о кодировке:

Нажмем финиш и начальная подготовка проекта закончена. Пока у нас просто пустой каталог. Запустим MCC:

Конфигуратор попросит указать имя конфигурации и место его хранения, если у вас предполагает единственная конфигурация (например, только для одного типа контроллера) все можно оставить как есть и нажать ok!

После этого нам отрется окно конфигуратора, настройку начнем с тактового генератора.

Установим работу от внутреннего генератора (1), выберем скорость 64 МГц (хотя тут можно выбрать частоту в зависимости от вашего необходимого энергопотребления, но я люблю по быстрее), делитель установим на 1. Включим сторожевой таймер на постоянно. Зачем нам сторожевой таймер в демопроекте? Да можно без сторожевого таймера, но в реальном проекте без него никак не обойтись, по этому учимся и его использовать и включаем. Нам сразу предлагается период 2 секунды, что это значит? Это значит, что программа в при своей нормальной работе, должна как минимум не позднее чем через две секунды сбрасывать сторожевой таймер. Если эта процедура не будут выполнена, то считается, что контроллер  “завис” и сторожевой таймер апаратно перезапустит систему.

Дополнительно обратите внимание на выводы микроконтроллера это даст в последующем возможность оценить графические возможности MCC.

Следующий этап нам надо задать тактовую частоту для SPI, PWM модулей, для этого будут использовать таймер Т2. В окне ресурсы проекта выберем таймер 2.

Первое, что сделаем этот выберем от какого источника синхронизировать таймер, выберем FOSC/4 – это необходимо, чтобы можно было от него управлять модулем PWM. Какой период таймера? По тех описанию тактовая частота передачи данных для WS2812 должна быть 800 кГц. Длительность периода составляет 0.00000125 секунды. Но это период для WS2812, для таймера необходимо работать в два раза быстрее, чтобы сформировать период 800 кГц. это 0.000 000 625 или 625 нСек. Настроим таймер:

Обновление от 03/2021
Таймер настроили. следующее подключим SPI модуль.

Настроим модуль указав, что он работает в режиме главного (ведущего, мастера) и тактируется от таймера 2, проверим, правильная частота получилась 800 кГц….

Обновление 03/2021

Настроим еще два параметра для SPI. Нам необходимо настроить, следующим образом:

Обновлено 03/2021

BMODE:  выбора режима длины. если 1 = настройка SPIxTWIDTH применяется к каждому байту: общее количество отправленных битов равно SPIxTWIDTH * SPIxTCNT, конец пакета происходит, когда SPIxTCNT = 0.

RXR: – 0 = полученные данные не сохраняются в FIFO.

Посмотрим распиновку:

Настраивать периферию в MCC песня!!!

Ok!!! Следующее настроим PWM модуль.

В настройке ничего в принципе делать не надо, у нас период ШИМ 625 нСек, 50% 312 нСек, это в допуске для импульса формирования 0.

Теперь мы имеем модуль для передачи данных SPI  с которого можно взять управление и импульсы длительностью для формирования логической “1”, модуль PWM  с которого можно взять импульс для формирования логического “0”, надо их чем то объединить, для этого и используем модуль CLC!!!

Добавим модуль. Выберем CLC3, почему 3!? В принципе можно выбрать любой модуль, разница заключается только в том, что выходы модуля могут быть сконфигурируемы, только для определённого порта, например CLC1 на порт A, CLC3 на порт B, а мне надо на порт RB1, я хочу подключить (ну уже припаял соединитель, ну кому легко).

для нас откроется окно

Что с этим делать? Мы имеет три источника данных выход PWM, выход синхронизации и выход данных SPI. Подключим их!

Дальше пойдём логическим путём, нам нужен переключатель, между SCK и PWM для выбора данных которые находятся на SDO. Т.е. данные SDO должны управлять? Для этого мы будем использовать И и ИЛИ элементы. Выход PWM подключим инверсно на элемент ИЛИ 1 и естественно про инвертируем выход, чтобы не потерять логику сигнала. Выход SCK модуля SPI подключим на прямую на вход модуля ИЛИ 3. Теперь сделаем переключатель, чтобы при нуле на SDO выбирались сигнал с PWM, а при логической “1” на шине SDO с SCK. Еще необходимо учесть, что формирование логического нуля было возможно только в одном полупериоде SLK для этого надо сделать сборку по ИЛИ на модуле 1 сигналов PWM и SLK. Реально нам надо выполнить следующую логическую функцию

(SCK & nSDO & PWM) || ( SCK & SDO)

Мышкой кликаем на точках подключения и настраиваем модуль, выглядеть должно быть так:

Для наглядности нарисую стрелками где у нас формируются логический нуль и логическая единица для передачи данных.

Обновлено 03/2021

От состояния SDO логика переключается на формирование логического нуля или единице, с элемента 1 формируется логический ноль, а с элемента 4 логическая единица.

Теперь настроим выход модуля CLC для подключения ко входу светодиодов.

Запустим конфигуратор на выполнение:

После выполнения в проект будут добавлены файлы:

Откроем файл main и добавим следующий код для тестирования интерфейса, не забудем, что мы включили сторожевой таймер!

void main(void)
{
    // Initialize the device
    SYSTEM_Initialize();

    // If using interrupts in PIC18 High/Low Priority Mode you need to enable the Global High and Low Interrupts
    // If using interrupts in PIC Mid-Range Compatibility Mode you need to enable the Global Interrupts
    // Use the following macros to:

    // Enable the Global Interrupts
    //INTERRUPT_GlobalInterruptEnable();

    // Disable the Global Interrupts
    //INTERRUPT_GlobalInterruptDisable();
    
    CLRWDT();   //сброс сторожевого таймера +++++++++++++++++++++- 

    while (1)
    {
        // Add your application code
        
        CLRWDT();   //сброс сторожевого таймера +++++++++++++++++++++- 
        
        SPI1TXB = 0x55;                 // загрузка буфера кодом
        while(!SPI1STATUSbits.TXBE);    // ожидать передачи данных
        SPI1TXB = 0xAA;                 // загрузка буфера кодом
        while(!SPI1STATUSbits.TXBE);    // ожидать передачи данных
        __delay_us(10);                 // сделать паузу
        
        
    }
}

Суть этого примера простая сбрасывать сторожевой таймер говоря микроконтроллеру, что программа выполняется правильно, загружать в буфер SPI данные и проверить, что мы имеем на выходе CLC3 модуля….

Я использую анализатор для просмотра сигналов (при этом предварительно вывел и PWM и SDO на порты микроконтроллера.

Мы видим как работает SPI, как на выходе его формируются наши передаваемые данные, в третей строке видим наш сигнал PWM, а на четвертой данные формируемые для управление светодиодами.

А теперь по подробнее:

Как формируется логический ноль:

 

как формируется логическая единица:

Все длительности в допуске, правда хочу сказать из практики, светики будут работать и при еще большем разбросе.

Для управления, например, 4 светодиодами напишем небольшою функцию.

/*
 * где входные данные величина яркости для
 * red -
 * green -
 * blue -
 */

void transfer_WS2812(uint8_t red, uint8_t green, uint8_t blue)
{
    SPI1TXB = green;
    while(!SPI1STATUSbits.TXBE);
    SPI1TXB = red;
    while(!SPI1STATUSbits.TXBE);
    SPI1TXB = blue;
    while(!SPI1STATUSbits.TXBE);
}

и напишем небольшую программу для передачи данных на наши 4 светика, так будут выглядеть программа в главном цикле для постоянной передачи данных в шину.

    while (1)
    {
        // Add your application code
        
        CLRWDT();   //сброс сторожевого таймера +++++++++++++++++++++- 
        

        __delay_us(100);                 // синхронизация
        transfer_WS2812(255,0,0);   // Красный
        transfer_WS2812(0,255,0);   // Зеленый
        transfer_WS2812(0,0,255);   // Синий
        transfer_WS2812(255,255,0); // Желтый
        
    }

На выходе мы увидим:

Проект и сканы данных в формате салае логик можно скачать. На этом все. В следующей статье расскажу как сделать полноценную библиотеку для работы со светодиодными лентами и как создавать световые эффекты!

Значок

Neopixel LED и PIC18 - демо проект 491.83 KB 72 downloads

Еще раз об управлении светодиодами на драйвере...
Значок

Neopixel LED и PIC18 - сканы интерфейса 1.31 MB 62 downloads

Все длительности в допуске, правда хочу сказать...

Это может быть интересно


  • Audio-bluetooth modules F-6188 (BK8000L)Audio-bluetooth modules F-6188 (BK8000L)
    Visits: 2240Следующий модуль на чипе BK8000L. Заводское обозначение F-6188 также основным производителем не выпускается и отдан на тиражирование. с нижней стороны имеет маркировку В этом варианте мне попалась вроде полноценная …
  • Простой цифровой вольтметр ch-c3200Простой цифровой вольтметр ch-c3200
    Visits: 2470 В этой статье рассмотрен пример создания простого вольтметра постоянного тока на основе печатной платы ch-c0030pcb, а при возможности использования внешнего делителя и вольтметр переменного тока. Дан краткий принцип …
  • Temperature measurement with NTC thermistor.Temperature measurement with NTC thermistor.
    Visits: 216 Проекты в которых присутствовало измерение температуры начинал с цифровых датчиков, т.к. в них все просто и не надо ничего преобразовывать и вычислять. При использовании цифровых датчиков ты получаешь …
  • Проект с использованием MCC часть 06Проект с использованием MCC часть 06
    Visits: 1218 Изменим схему следующим образом добавим две тактовые кнопки BT1 и BT2. Теперь переключимся на конфигурацию выводов, для этого сделаем двойной клик в окне Ресурсы проекта на Pin Module. …
  • Система AT команд версии V2.0 для ESP8266 и ESP32Система AT команд версии V2.0 для ESP8266 и ESP32
    Visits: 9315 Появление нового модуля на базе ESP32 заставило систематизировать систему AT команд, а так же систему обновления и для модулей на базе ESP8266. Начиная с версии v2.0 в ESP8266 …
  • УКВ – радиоприем, часть 2УКВ – радиоприем, часть 2
    Visits: 6168 Пришло свободное время решил вторую часть проекта реализовать (правда есть мысль и третью с использование цветного OLED и функцией ch-светомузыки, но это только задумка… Для понимания функций интегрального …
  • Проект с использованием MCC часть 13Проект с использованием MCC часть 13
    Visits: 1001 Так как используя MCC мы можем его использовать со своими библиотеками, поэтому настало время и свое создать. Для начала откроем наш заголовочный файл в нем очень много букв: По …
  • ch-4050 – дифференциальный терморегуляторch-4050 – дифференциальный терморегулятор
    Visits: 1833 ch-4050 – это не новая модель, это расширенная версия универсального терморегулятора ch-4000. Различия коснулись в появлении новой функции дифференциального регулирования. Это вид регулирования по разности температур измеренного двумя …
  • Акриловый корпус для платы ch-4000Акриловый корпус для платы ch-4000
    Visits: 637 Плата ch-4000 подходит для монтажа в корпуса на дин рейку, но для домашней автоматики необходимо что-то другое, поэтому был разработан корпус из акрила который позволит создавать настольные и настенные устройства. Корпус …
  • Светодиоды со встроенным драйвером WS2812BСветодиоды со встроенным драйвером WS2812B
    Visits: 903 Производитель http://www.world-semi.com Краткое описание продукции фирмы Каталог продукции” catcatcat_ws_19 catcatcat_ws_15 catcatcat_ws_11 catcatcat_ws_07 catcatcat_ws_03 catcatcat_ws_18 catcatcat_ws_14 catcatcat_ws_10 catcatcat_ws_06 catcatcat_ws_02 catcatcat_ws_05 catcatcat_ws_09 catcatcat_ws_13 catcatcat_ws_17 catcatcat_ws_16 catcatcat_ws_12 catcatcat_ws_08 catcatcat_ws_04 catcatcat_ws_01 This jQuery …



 

Поделись этим!

Catcatcat

catcatcat

Development of embedded systems based on Microchip microcontrollers.

Продолжайте читать

НазадДалее

Комментарии

Добавить комментарий

Этот сайт использует Akismet для борьбы со спамом. Узнайте, как обрабатываются ваши данные комментариев.